GALS for Bursty Data Transfer based on Clock Coupling

Loading...
Thumbnail Image
Date
2009
Volume
245
Issue
Journal
Series Titel
Book Title
Publisher
Amsterdam : Elsevier
Abstract

In this paper we introduce a novel burst-mode GALS technique. The goal of this technique is improving the performance of the GALS approach for systems with predominantly bursty data transfer. This new technique has been used to implement a GALS-based version of a hardware accelerator of a 60 GHz OFDM baseband processor. The simulation results show a significant performance improvement in comparison with a classical implementation of GALS using pausible clocking. © 2009 Elsevier B.V. All rights reserved.

Description
Keywords
bursty data transfer, GALS, pausible clocking, Baseband processors, Burst-mode, bursty data transfer, GALS, Hardware accelerators, pausible clocking, Performance improvements, Simulation result, Data transfer
Citation
Krstić, M., Fan, X., Grass, E., & Gürkaynak, F. K. (2009). GALS for Bursty Data Transfer based on Clock Coupling. 245. https://doi.org//10.1016/j.entcs.2009.07.031
Collections
License
CC BY-NC-ND 3.0 Unported